ASML « Terug naar discussie overzicht

Nieuwe upcycle in de halfgeleider industrie?

129 Posts, Pagina: « 1 2 3 4 5 6 7 » | Laatste
[verwijderd]
0
@Linux,

Ik heb nog geen bevestigend bericht gezien dat Elpida niet zou hebben gekozen voor Asmlmachines, ook niet in het lithoforum.
Misschien heb ik iets over het hoofd gezien, als dat zo is zou ik het op prijs stellen, het bericht hier te plaatsen.

[btw. kan niet meer op het lithoforum inloggen]

groet; uitvreter
novital
0
quote:

Linux schreef:

Voor zulke details moet je op het lithoforum zijn.
Elpida is klant bij Nikon zie ik daar.
Er wordt hier wel eens krachtig gewezen op en gewaarschuwd voor belangen en belangenverstrengeling in termen zoals: "Als iemand [een analist bijvoorbeeld] ergens een lans voor breekt (of juist niet) dan kan hij/zij er direct belang bij hebben, en staat de waarheid nooit/soms/vaak achteraan in de rij".
Mag Linux die hier af en toe een ghost appearance maakt om een of andere reden in een vergelijkbare categorie geplaatst worden? Mijn bron genaamd Jaromirgaarde fluistert nu wat :)
novital
0
quote:

WRoeland schreef:

ASML ook.
Alleen hoeveel ze er kopen is geheim?

willem
ASML is waarschijnlijk leverancier aan Elpida. Zie bijvoorbeeld:
uk.reuters.com/article/technology-med...

Ik maak me sterk dat naast Toshiba ook Elpida tot het ASML kamp is toegetreden.
[verwijderd]
0
quote:

novital schreef:

[quote=WRoeland]
ASML ook.
Alleen hoeveel ze er kopen is geheim?

willem
[/quote]

ASML is waarschijnlijk leverancier aan Elpida. Zie bijvoorbeeld:
uk.reuters.com/article/technology-med...

Ik maak me sterk dat naast Toshiba ook Elpida tot het ASML kamp is toegetreden.

Dat is juist novital wat ik ook al schreef.
Dat moet ook wel want Nikon en Canon kunnen de machines niet maken zoals de ASML Immersion 1900/1950I.heeft.

De huidige tendens is dat veel chip fabrikanten moeten gaan samen werken.Zodoende zie je dat niet ASML klanten dan met ASML en vise versa Nikon/Canon tools te maken krijgen en deze dan kopen of juist niet.

www.fabtech.org/content/view/65704/

Zij hebben nu Immersion,dat klopt maar die moeten het ook doen en ook een performance halen van 131 wafers output p/h op 45NM.Nikon/Canon kunnen beide een plaatje schieten op 32/45NM maar niet op productie niveau. Ook de uitval van het aantal mislukte wafers is erg belangrijk. Je moet er niet aan denken dat op layer no;32 het lijntje 10NM scheef staat.

groet willem
[verwijderd]
0
Volgens Caris & Company heeft Elpida met de Asml tools de gewenste resultaten bereikt.
Dus ook ik maak me sterk voor de Asml tools die productiever zijn dan die van Nikon.

25.06.2008 09:23
ASML Holding N.V.: buy (Caris & Company, Inc. )
New York (aktiencheck.de AG) - Ben Pang, Analyst von Caris&Company, stuft die Aktie des niederländischen Unternehmens ASML (ISIN NL0000334365/ WKN 894248) weiterhin mit "buy" ein.

Elpida sei noch immer dabei, hinsichtlich der Stepper-Tools eine Entscheidung zu treffen. Elpida habe sowohl die Produkte von ASML als auch von Nikon getestet. Den Recherchen von Caris&Company zufolge seien mit dem Immersionstool von ASML die gewünschten Resultate erzielt worden. Nikons Marktanteil im Immersionsbereich sei stark gesunken, sodass von Nikon eine aggressive Preispolitik zu erwarten sei um ASML auszustechen.

Die Aktie von ASML sei infolge der Sorgen hinsichtlich der Bruttomarge im zweiten Halbjahr 2008 unter Druck geraten. Die Analysten von Caris&Company würden der ASML-Aktie jedoch weiterhin positiv gegenüber stehen. Für die Fiskaljahre 2008 und 2009 erwarte man einen Gesamtumsatz in Höhe von 5,50 Mrd. USD bzw. 5,99 Mrd. USD. Die EPS-Schätzung für die Fiskaljahre 2008 und 2009 liege bei 2,00 USD bzw. 2,50 USD. Das Kursziel der Aktie sehe man nach wie vor bei 31,00 USD.

Vor diesem Hintergrund halten die Analysten von Caris&Company an ihrem "buy"-Rating für den Anteilschein von ASML fest. (Analyse vom 24.06.08)
(24.06.2008/ac/a/a)

Analyse-Datum: 24.06.2008
novital
0
Beleggingsinstellingen in de VS krijgen opnieuw belangstelling voor ASML aandelen.
Sinds 24 juli waren acht instituties koper tegenover 1 verkoper.

24 jul Lafer Management Corp +14,500
30 jul Texas Capital Bancshares INC +818
5 aug Parametric Portfolio Associates -13,912
6 aug Rnc Capital Management Llc +10,025
6 aug Managers Investment Group Llc +25,103
6 aug Pax World Management Corp +26,500
6 aug Timessquare Capital Management Llc +892,500
8 aug Claymore Advisors Llc +15,867
9 aug Satellite Asset Management Lp +65,000

Bron: finance.google.com/finance?morenews=1...
[verwijderd]
0
Lijkt me wel handig om de aankoop van aandelen door beleggingsinstellingen te vermelden in het draadje;

"Grootaandeelhouders Asml"
novital
0
Dit bericht geeft hogere groeicijfers dan die eerder werden gemeld door SIA begin augustus. Toen werd een groei van 8% genoemd.

Actual data shows global chip market grew 12.2% in June

Peter Clarke
EE Times Europe (08/11/2008 9:22 AM EDT)

LONDON — The global market for semiconductors was $25.52 billion in June 2008, up 12.2 percent on June 2007, according to data from World Semiconductor Trade Statistics (WSTS).

This is considerably better than the three-month moving average data for June published by the U.S. Semiconductor Industry Association earlier this month.

The actual data in June compares with an actual market size of $20.25 billion in May 2008, and is evidence of a gathering pace of market growth in 2008, despite concerns over the general economy.

On a geographic basis, the AsiaPacific region showed strongest growth reaching $13.19 billion in June, up 17.6 percent from where it had been a year before. Japan's market was $4.28 billion, up 3.0 percent; Europe's market stood at $3.99 billion, up 7.5 percent while the America's region market was $4.06 billion, a jump of 11.3 percent from the value in May 2007.

The U.S. Semiconductor Industry Association publishes WSTS numbers as a three-month average. It argues that this smoothes out the data which would otherwise display the effect of in-quarter reporting artifacts that tend to treat March, June, September and December as five-week months.

www.eetimes.com/news/latest/showArtic...
novital
0
Het bovenstaande bericht maakte al melding van een sterke stijging van de chipsverkopen. Mogelijkerwijs vormt dit een aanwijzing voor nog krachtiger stijging in de tweede helft van dit jaar.

WSTS data portrays global chip market boom

Peter Clarke EE Times Europe (08/11/2008 11:56 AM EDT)

LONDON — Global semiconductor sales data for the second quarter of 2008 from World Semiconductor Trade Statistics (WSTS) shows a strong growth trend and the possibility of a booming market in the second half.

Although WSTS has marked down some sales figures for earlier in the year this just serves to highlight the pace of growth. Comparing actual April 2008 sales with those of the same month a year before now shows 3.0 percent growth to $18.93 billion. The sales in May 2008 were up 7.7 percent, on the same basis, to $20.25 billion. And now chip sales in June 2008 have jumped 12.2 percent compared with June 2007, to $25.52 billion.

The sales boom is being driven by the Asia-Pacific region which has enjoyed two months with more than 17 percent annual growth. The Americas region bounced back from a contracting market in May 2008 to show a June market up 11.3 percent on a year before.

www.eetimes.com/news/latest/showArtic...
novital
0
Semiconductors Have Brighter Future
With Ken Nagy Aug 12, 2008

When we recently sat down with Zacks senior semiconductor industry analyst Ken Nagy, CFA, we were interested in finding out what the positives were in the outlook on the semiconductor industry. What we got was that, plus some timely Buy and Sell recommendations.

The semiconductor industry looks to be gaining some traction near-term. What Buy recommendations do you have for us at this time.

Perhaps I can best answer this question by citing the most recent Buy report on which I have issued a Buy report -- ASML (ASML). ASML is the largest OEM [original equipment manufacturer] of advanced photolithography systems used within the semiconductor manufacturing industry.

June quarter revenue and EPS outperformed consensus estimates. The company has the leading position in the next generation immersion lithography tools, which will lead to long-term growth.

The firm is managing weakness in the markets by cutting SG&A [selling, general and administrative] and R&D [research and development] expenses. Looking ahead to 2009, management expects a positive tail wind, given the DRAM ramp-up of 55 nanometer, the healthy revenue growth at foundry customers, and the transition to double patterning lithography by flash memory leaders.

In what ways is ASML a market leader?

Well, the company is the world's leading provider of lithography systems for the semiconductor industry, manufacturing complex machines that are critical to the production of integrated circuits or chips. ASML feels the industry will require different architectures for each sub technology.

The company plans to make 20-30% of this spending flexible so during downturns it could scale back. The company also has two EUV tools it plans to ship this quarter. This speaks to technology leadership.

ASML’s 193nm introduction is unlikely to face competition, at least in the near-term. In addition, the used equipment market is also improving, with tier II customers increasing their capacity by adding 200mm tools. The 200mm tools have better gross margins than the 300mm products, since these products are newer.

Is there another semiconductor recommendation you would make for us today?

ON Semiconductor (ONNN) is an OEM of primarily analog semiconductors used within a diverse set of end markets. On August 6th, the company announced the total revenues in the second quarter of 2008 was a record $562.7 million, an increase of approximately 33% from the first quarter of 2008.

The company now gets significant revenue from its recent major purchase of LSI Logic’s (LSI) Gresham, Oregon wafer facility, which has the potential to be a solid revenue driver. The products produced at the Gresham facility are of the high margin variety. We feel the stock is under valued at these levels, and recommend investors buy the shares. Our target price is $13.

What is it that makes ONNN such a strong Buy, in your view?

ONNN serve a broad base of end-user markets, including computing, automotive electronics, consumer electronics, industrial electronics, wireless communications and networking. Applications for its products in these markets include portable electronics, computers, game stations, servers, automotive and industrial automation control systems, routers, switches, storage-area networks and automated test equipment. ONNN s extensive portfolio of devices enables it to offer advanced integrated circuits and the building block components that deliver system level functionality and design solutions.

Do you have any Sells for us to be wary of?

FormFactor (FORM) is the market leader in advanced wafer probe cards used to test semiconductor wafers during the manufacturing process. The combination of a very difficult pricing environment in DRAM and product execution problems, in the 4th quarter have led to the shares being slammed.

The company has a very strong long-term growth profile, but it appears as though the DRAM market will not improve in the near term. We envision a strong future for FORM, but for the next two quarters FORM should not see strength in DRAM.

DRAM market conditions continue to be difficult for FORM’s customers despite prices staying weak. Given the current price of DRAM and customers' need to conserve cash, manufacturers are having difficulty investing sufficiently to yield at the next node 65, 68 nanometers. Consequently, we are decreasing our price target to $17.00, which corresponds to a P/B [price-to-book] multiple of 1.1x.

Ken Nagy, CFA is a senior analyst covering the semiconductor industry for Zacks Equity Research.
novital
0
Waarom wordt er geïnvesteerd in de uitbreiding van de DRAM produktie terwijl er sprake is van overproduktie? Wil men koste wat kost marktaandeel veroveren en zo proberen de concurrentie de markt uitdrukken? Dat lijkt op wat Samsung in juli dreigde te gaan doen. 'Survival of the fittest' als het ware.

DRAM dilemma as bit growth continues
Aug 12, 2008 at 02:10 PM

Despite two rounds of capital spending cuts from the majority of DRAM manufacturers in 2008, unit growth has not slowed and has exceeded forecasts from market research firms such as iSuppli Corp. This is making the third quarter look increasingly like the overcapacity situation that has dogged the sector for over 18 months is set to continue, even though demand remains robust and the peak demand period is closing in fast.

“The industry megabyte bit growth grew by a stunning 17 percent sequentially during the second quarter, blowing iSuppli’s forecast of 10 percent,” said Nam Hyung Kim, director and chief analyst for memory ICs/storage systems at iSuppli. “The unit growth doesn’t seem to be slowing down either and is even higher than that of the first quarter. The positive side is that the PC market has been sound. However, oversupply may be inevitable in the third quarter due to OEMs’ aggressive inventory build-up during the second quarter”

According to Kim, ASP’s are set to decline by 10 percent in the third quarter, which will cause further pain for the sector still struggling with quarterly losses.

Looking at iSuppli’s Q208 DRAM market share growth data, highlights those manufacturers still increasing production faster than there rivals, which is resulting in market share gains.

On year-to-year basis, Samsung’s growth has actually declined by 1 percent but its sequential growth is up by 13.3 percent. Samsung has 30 percent share of the DRAM market according to iSuppli.

On a yearly basis only two other manufacturers actually saw growth and these both exceeded Samsung by some margin. The fastest growth comes from Elpida, which iSuppli puts at 16 percent y-on-y. Powerchip saw growth of 10 percent. Elpida and Powerchip increased megabyte unit production by 26 percent and 38 percent, according to the market research firm.

“The market share battle between Hynix and Elpida could delay the market recovery,” Kim noted. “Elpida clearly wants to be No. 2 soon while Hynix will try to reduce its NAND growth and to increase DRAM production to retain its market share.”

All other major DRAM producers saw negative growth with Qimonda leading the pact with a 40 percent decline.

As seen in the chart, Hynix is fighting back with sequential growth of 20.1 percent as it shifts older fabs away from NAND production to DRAM, potentially adding further woes on oversupply concerns through the remainder of the year.

www.fabtech.org/index.php?option=com_...
[verwijderd]
0
De outlook van Amat ziet er niet beroerd uit,
After hours zie ik Amat op +4,41%

5:00pm 08/12/08 Applied Materials sees Q4 rev up 2%-10% - MarketWatch

4:59pm 08/12/08 Applied Materials sees Q4 EPS of 12-15 cents - MarketWatch

En NVidia Corp op +11%
novital
0
AMAT, een grote speler op de semi equipment markt, stelt dat het voorbije kwartaal dé bodem was voor de semiconductor toeleveranciers, en dat bestellingen in het volgende kwartaal met 30% zullen toenemen.
Als we aannemen dat dit ook geldt voor ASML dan mogen we een verdere stijging van de koers verwachten de komende weken en maanden. Het koersherstel gedurende de laatste week is al aanzienlijk.

Applied 4Q Forecast Light, Says 3Q Was Silicon “Trough”
Posted by Tiernan Ray

On a conference call with analysts this evening following Applied Materials’s (AMAT) third-quarter financial report, CEO Michael Splinter said he expects orders for equipment for chip makers should rise 30% in the fourth quarter from what he sees as a “bottom” last quarter.

The company forecast sales this quarter to be up between 2% and 10% (presumably from the last quarter), which would be between $1.87 billion and $2.04 billion, which leaves a lot of room below the average estimate of $2.02 billion, and profit of between 12 and 15 cents per share, below the average 19-cent estimate.

“Fiscal Q3 was the trough in semiconductor orders and revenue,” said Splinter. However, “In the current environment, there is uncertainty around the timing of those investments,” he said, referring to customers’ plans to buy new equipment. Among growth drivers for next year, Splinter mentioned investment to make solid-state “flash” memory hard-disk drives.

Kudos to RBC Capital’s Mahesh Sanganeria, who yesterday said Street forecasts might be too high.

The company said semiconductor capital equipment demand will remain flat in the current quarter, but that orders should strengthen somewhat from last quarter. Flat-panel display equipment shipments are the real issue, with the order cycle will declining “sharply,” management said. Orders for Applied overall should be up 5-10% in the quarter, with rising Energy and Silicon tools orders offset by “a sharp decline of 75% in display” tools, the company said.

The company expects to spend between $200 million and $400 million on share repurchases in the current quarter, consistent with the $300 million it spent last quarter.

Applied shares are now up 67 cents, or 3.5%, at $19.10.

blogs.barrons.com/techtraderdaily/200...
[verwijderd]
0
quote:

novital schreef:

Dit bericht geeft hogere groeicijfers dan die eerder werden gemeld door SIA begin augustus. Toen werd een groei van 8% genoemd.

Actual data shows global chip market grew 12.2% in June
De SIA maakt groeicijfers bekend die gebaseerd zijn op een driemaandelijks gemiddelde.
Als er dan eens een slechte maand bijzit wordt het gemiddelde omlaag gehaald. Vandaar de 8% van SIA.

De WSTS maakt de groeicijfers bekend op basis van de werkelijke maandomzet en die was nu 12% hoger dan in dezelfde periode van vorig jaar.

De twee cijfers mogen dus niet zondermeer met elkaar vergeleken worden.
novital
0
SEMI meldt een semiconductor investeringstoename in Europa


Peter Clarke
EE Times Europe (08/13/2008 10:38 AM EDT)

LONDON — An estimated $2.53 billion will be spent in Europe on semiconductor manufacturing equipment in 2008, according to the SEMI mid-year consensus forecast.

This annual figure is set to increase 3.6 percent to $2.62 billion in 2009. The materials market is also growing in Europe, reaching nearly $4 billion.

At the same time, European markets in MEMS, photovoltaics, printed and flexible electronics, and other areas are propelling traditional European leadership in communications, consumer electronics, and automotive industries, SEMI said.

www.eetimes.com/news/semi/rss/showArt...
novital
0
Zoals eerder aangegeven gaat TSMC inderdaad de capex voor 40nm productie in dit jaar nog vergroten.
Mogelijkheden dus voor ASML´s 1950i apparatuur. Concurrentie in deze klasse heeft ASML niet.

TSMC hikes capex for 40-nm, MEMS

Mark LaPedus
EE Times (08/13/2008 11:20 AM EDT)

SAN JOSE, Calif. -- The board of Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC) has approved a $795 million capital spending plan that includes a push into 45-/40-nm CMOS processes and MEMS.

The spending is part of TSMC's previously-announced capital expenditure budget for 2008. For year 2008, total capital expenditures for TSMC is expected to be around $1.8 billion, compared with $2.6 billion spent in 2007.

On the leading-edge front, silicon foundry giant TSMC said it has approved the appropriations of $687.60 million to expand its 45- and 40-nm manufacturing capacity within its 300-mm fabs. TSMC recently rolled out these processes.

The company has also approved capital appropriations of $107.40 million in 200-mm fab equipment. It will upgrade a portion of its 0.18-micron logic process capacity to 0.11-micron CMOS image sensor technology, 0.11-micron logic, 0.13-micron high voltage, and 0.18-micron RF.

In addition, the appropriation will also be used to upgrade a portion of its 0.35-micron logic process capacity to MEMS processes.

TSMC (Hsinchu) has been involved in MEMS foundry production for some time, but the company is expanding its efforts in the arena--and for good reason: MEMS is growing at an annual rate of 13 percent, according to the company.

Within its fabs, the company is devising several MEMS products on a foundry basis for customers, such as inkjet devices, sensors, RF MEMS and displays. It is developing several processes in the arena, such as bulk MEMS, surface MEMS and a CMOS-MEMS integration technology.

Rival Taiwan foundry provider United Microelectronics Corp. (UMC) is also jumping on the bandwagon. Recently, Taiwan's Asia Pacific Microsystems Inc. (APM) reached an alliance agreement with UMC for 200-mm MEMS wafer fab capacity. UMC is an investor in APM.

As part of this agreement, Taiwan's UMC and APM will collaborate to support current and future customers for the 200-mm MEMS process. UMC will provide the fab manufacturing, logistic management and required capacity expansion. APM will bring its MEMS process technologies.

The joint APM-UMC MEMS team has been working together during the last 18 months for this development. An 200-mm MEMS prototype line has been set up in one of UMC's fabs and will soon begin process qualification on one product.

Separately, TSMC's board approved a plan to repurchase up to NT$16.5 billion (approximately $542 million), or no more than 283 million shares, of the company's common shares from the open market.

"The sole purpose of this buyback is to remove partially the dilution from employee profit-sharing," said TSMC Chief Financial Officer Lora Ho, in a statement. "This repurchase program is separate from the multi-phase plan with Philips, and Philips will not use this program to dispose of its remaining stake in TSMC.''

TSMC also approved the merger of its subsidiary, Hsin Ruey Investment Co. Ltd.
[verwijderd]
0
novital
0
Niet alle bedrijven blijven op dezelfde voet investeren in uitbreiding van de DRAM productie. Vorige maand kondigde het Zuid-Koreaanse Hynix al aan een fabriek te sluiten in Eugene, nu blijkt dat het eerdere plan van Micron Technology om er een te bouwen in Boise (ook in Oregon) op de lange baan geschoven is.

Bron: blog.oregonlive.com/siliconforest/200...

Bedrijven die niet alleen de dip uitzitten maar ook blijven investerenzouden wel eens aan het langste eind kunnen trekken.
novital
0
Splinter ziet de capex in 2009 stijgen.
AMAT heeft meer dan 40 nieuwe 300mm fabrieken op het oog, en ziet een hernieuwde interesse in investeringen in Nand flash als gevolg van de opkomst van solid state disks (ssd´s).

Applied Materials sees higher CapEx spending for 2009

Aug 14, 2008 at 03:48 PM

Michael Splinter, President, Chief Executive Officer of Applied Materials noted in the companies fiscal Q3 conference call that the trough in semiconductor capital equipment spending had been reached and expected increase orders through the end of year. Importantly, he noted that spending in 2009 should improve over spending slump this year.

Though cautious in giving his own specific forecast at this time, Splinter said, “I think it is fair to say I expect capital spending to be up year-over-year in ’09. As you know last time I said that I really felt our Q3 was the bottom of the trough, and that we would see a modest recovery. I am sticking to that at this time.”

Splinter also noted that they were tracking more than 40, 300mm fab projects that will eventually materialize but the timing of these projects was difficult to gauge due to the economic uncertainties.

“I think if you look at overall utilization in the industry, it is very high, it is still increasing so there is not a lot of capacity out there, and if overall economies get better, I think the second half of 2009 will be good,” noted Splinter.

Splinter, also noted that he expected a renewed capacity expansion in the NAND flash market in 2009 and beyond due to the momentum in solid state drive applications demand.

Fab utilization levels continue to climb during a period of very low equipment spending that must at some stage force chip manufacturers to further expand capacity to meet demand.

www.fabtech.org/index.php?option=com_...
129 Posts, Pagina: « 1 2 3 4 5 6 7 » | Laatste
Aantal posts per pagina:  20 50 100 | Omhoog ↑

Meedoen aan de discussie?

Word nu gratis lid of log in met uw e-mailadres en wachtwoord.

Direct naar Forum

Detail

Vertraagd 17 apr 2024 17:38
Koers 852,400
Verschil 0,000 (0,00%)
Hoog 891,100
Laag 845,500
Volume 1.271.289
Volume gemiddeld 589.679
Volume gisteren 1.271.289

EU stocks, real time, by Cboe Europe Ltd.; Other, Euronext & US stocks by NYSE & Cboe BZX Exchange, 15 min. delayed
#/^ Index indications calculated real time, zie disclaimer, streaming powered by: Infront