ASML « Terug naar discussie overzicht

2 de kwartaal cijfers asml holding 2011 vooruitblik

34 Posts, Pagina: 1 2 » | Laatste
best30
0

de cijfers jaar 2010

eerste kwartaal omzet 742.........107 miljoen winst
tweede kwartaal omzet 1069........239 miljoen winst
derde kwartaal omzet 1176.........269 miljoen winst
vierde kwartaal omzet 1500........387 miljoen winst

de cijfers jaar 2011

eerste kwartaal omzet 1452.......405 miljoen winst
tweede kwartaal omzet 1412.......385 miljoen winst

oder in stroom van 31 machine (waarde ongveer 910 miljoen)

Dus ongeveer 10% is het minder geworden.

Aanstaande woensdag komen met de cijfers naar buiten.

best30

[/quote]
DTJ
0
Waarom wordt er in de US gedumpt,

ASML Holding,

US$35.08 €25.04 -0.66 -2.57%

NL€25.70
no-sa
0
quote:

DTJ schreef:

Waarom wordt er in de US gedumpt,

ASML Holding,

US$35.08 €25.04 -0.66 -2.57%

NL€25.70
Alcoa heeft gisteren het patroon aangegeven: giga-winsten, en vandaag al weer in de min. In de US wordt ASML even heel hard onder water getrokken (welke ratten zwemmen daar onder het opppervlak?), morgen is dus down en dan de dag daarop een sprong naar boven, om vervolgens de rest van de zomer dood te liggen. Succes.
largo33
0
quote:

no-sa schreef op 12 juli 2011 19:55:

[...]

Alcoa heeft gisteren het patroon aangegeven: giga-winsten, en vandaag al weer in de min. In de US wordt ASML even heel hard onder water getrokken (welke ratten zwemmen daar onder het opppervlak?), morgen is dus down en dan de dag daarop een sprong naar boven, om vervolgens de rest van de zomer dood te liggen. Succes.
Ik kan je volgen tot de regel; vervolgens de rest van de zomer dood te liggen. Hoe kom je daar bij?
DTJ
0
quote:

no-sa schreef:

[quote=DTJ]
Waarom wordt er in de US gedumpt,

ASML Holding,

US$35.08 €25.04 -0.66 -2.57%

NL€25.70
[/quote]

Alcoa heeft gisteren het patroon aangegeven: giga-winsten, en vandaag al weer in de min. In de US wordt ASML even heel hard onder water getrokken (welke ratten zwemmen daar onder het opppervlak?), morgen is dus down en dan de dag daarop een sprong naar boven, om vervolgens de rest van de zomer dood te liggen. Succes.
Zeg dan niks dit verhaal raakt kant noch wal.
[verwijderd]
0
DTJ
0
quote:

Bolo schreef:

Microchip kwam met slechte outlook. dat is de reden. Dumpen valt nog wel mee
Wat verwacht je morgen van de cijfers?
no-sa
0
quote:

DTJ schreef:

[quote=Bolo]
Microchip kwam met slechte outlook. dat is de reden. Dumpen valt nog wel mee
[/quote]

Wat verwacht je morgen van de cijfers?
Met ruim vijf procent eraf, daaro aan de overkant, mag je niet veel anders verwachten dan... nou, raad zelf maar. Daar komt verder het slechte sentiment bij, dus iedereen dumpt morgen, en dan overmorgen is het hoera. Mijn kop eraf als...
[verwijderd]
0
Zullen goed zijn (zijn bijna altijd heel nauwkeurig te schatten,dus voorspelling die ze 3 mnd geleden gedaan hebben zullen accuraat zijn) maar draait allemaal om nieuwe orders. Net als vorige kwartalen. Aandachtspunten zullen zijn.

1 japan, Ze konden nog niet goed de impact van de aardbeving inschatten.
2 Een aantal klanten stelden hun bestellingen uit. Op de persconferentie na de Q1 cijfers, dacht ASML dat dit tijdelijk was. Ze stelden het zo dat op den duur iedereen wel machines bij hun moest bestellen.
3 voortgang nieuwe lichting EUV machines, Lag toen nog iets achter op schema en hiervoor is extra R&D budget voor ingepland.

ASML denkt niet aan overnames, misschien dat ze dividend verhogen of meer aandelen terugkopen. Ik weet niet of we daar blij mee moeten zijn, maar het behoort tot de mogelijkheden. Normaal gesproken zou ik denken dat een bedrijf als ASML daarmee aangeeft dat er weinig meer groei gerealiseerd kan worden .Ze hebben echter zoveel Cash dat ze het zich wel zouden kunnen veroorloven.

Kwam vandaag ook nieuws (website ASML) dat er verbeteringen zijn die al bestaande machines efficienter maken. Ben benieuwd of deze upgrades significant aan de omzet kunnen bijdragen (zou gaan om 80 al bestaande machines die hier gebruik van kunnen maken, maar heb geen idee wat pakket zal kosten).

Tevens lijkt het gebruik van EUV machines een stuk dichterbij. Het belgische IMEC heeft de eerste wafels op de EUV machine van ASML gemaakt. Dit project werd gefinacierd door Globalfoundries, Intel, Micron, Panasonic, Samsung, TSMC, Elpida, Hynix, Fujitsu, Sony and Powerchip. Dit zullen dus allemaal afnemers van de nieuwe machines gaan worden.

www.electronicsweekly.com/Articles/20...

Beide berichten kwamen vandaag uit. Ik denk dat dat dus nog weinig positieve invloed zal hebben op orderboek dat morgen vermeld wordt. Hierdoor zal wel het toekomstige orderboek toenemen. Kortom, de cijfers zullen zoals voorspeld zijn, maar orderboek voor de toekomst zal hoger uitkomen.

DTJ
0
quote:

Bolo schreef:

Zullen goed zijn (zijn bijna altijd heel nauwkeurig te schatten,dus voorspelling die ze 3 mnd geleden gedaan hebben zullen accuraat zijn) maar draait allemaal om nieuwe orders. Net als vorige kwartalen. Aandachtspunten zullen zijn.

1 japan, Ze konden nog niet goed de impact van de aardbeving inschatten.
2 Een aantal klanten stelden hun bestellingen uit. Op de persconferentie na de Q1 cijfers, dacht ASML dat dit tijdelijk was. Ze stelden het zo dat op den duur iedereen wel machines bij hun moest bestellen.
3 voortgang nieuwe lichting EUV machines, Lag toen nog iets achter op schema en hiervoor is extra R&D budget voor ingepland.

ASML denkt niet aan overnames, misschien dat ze dividend verhogen of meer aandelen terugkopen. Ik weet niet of we daar blij mee moeten zijn, maar het behoort tot de mogelijkheden. Normaal gesproken zou ik denken dat een bedrijf als ASML daarmee aangeeft dat er weinig meer groei gerealiseerd kan worden .Ze hebben echter zoveel Cash dat ze het zich wel zouden kunnen veroorloven.

Kwam vandaag ook nieuws (website ASML) dat er verbeteringen zijn die al bestaande machines efficienter maken. Ben benieuwd of deze upgrades significant aan de omzet kunnen bijdragen (zou gaan om 80 al bestaande machines die hier gebruik van kunnen maken, maar heb geen idee wat pakket zal kosten).

Tevens lijkt het gebruik van EUV machines een stuk dichterbij. Het belgische IMEC heeft de eerste wafels op de EUV machine van ASML gemaakt. Dit project werd gefinacierd door Globalfoundries, Intel, Micron, Panasonic, Samsung, TSMC, Elpida, Hynix, Fujitsu, Sony and Powerchip. Dit zullen dus allemaal afnemers van de nieuwe machines gaan worden.

www.electronicsweekly.com/Articles/20...

Beide berichten kwamen vandaag uit. Ik denk dat dat dus nog weinig positieve invloed zal hebben op orderboek dat morgen vermeld wordt. Hierdoor zal wel het toekomstige orderboek toenemen. Kortom, de cijfers zullen zoals voorspeld zijn, maar orderboek voor de toekomst zal hoger uitkomen.

Bolo bedankt voor de informatie
DTJ
0
Verwachtingen
- ASML Q2 omzet 1.530 mln eur (ABM FN) vorig 1.069 mln eur.
- ASML Q2 nettowinst 424 mln eur (ABM FN) vorig 239 mln eur.

Door: ABM Financial News.
Info@abmfn.nl
Redactie: +31(0)20-262 29 78
Sales: +31(0)20-845 40 59
[verwijderd]
0
ASML Announces 2011 Second Quarter Results; On Track for a Record Year 2011
VELDHOVEN, the Netherlands, July 13, 2011 - ASML Holding NV (ASML) today announces 2011 second quarter results according to US GAAP as follows:
Q2 2011 net sales of EUR 1,529 million versus Q1 2011 net sales of EUR 1,452 million (Q2 2010 net sales of EUR 1,069 million).
Q2 2011 net income of EUR 432 million, or 28.3 percent of net sales, versus a Q1 2011 net income of EUR 395 million or 27.2 percent of net sales (Q2 2010 net income of EUR 239 million or 22.4 percent of net sales).
Q2 2011 net bookings excluding EUV is valued at EUR 840 million with 34 systems (29 new and 5 used systems), leading to a systems backlog excluding EUV valued at EUR 2,756 million as of June 26, 2011.
"Our second quarter sales came in at record level, keeping us on track for another record year for ASML in 2011," said Eric Meurice, President and Chief Executive Officer of ASML. "Sales were driven mainly by customer capacity build-ups for new technology nodes, with Logic Processors and Foundry representing 41% of systems sales, Flash memory 36% and DRAM memory 23%. We have now shipped more than 80 of our most advanced TWINSCAN NXT:1950i immersion systems. We are further extending the capability of this machine by introducing an improved imaging, overlay and productivity specification, so that customers will be able to expose up to 230 wafers per hour at the 22-nanometer (nm) node. By mid-July we will have also shipped a total of five NXE:3100 Extreme Ultraviolet (EUV) scanners with several customers having already exposed hundreds of wafers with resolutions as small as 18 nm on this lithography platform for the future," Meurice added.

(...)

Outlook
"Q2 2011 orders came in a couple of systems lower than expected at EUR 840 million for standard systems excluding EUV," Eric Meurice said. "Our customers are currently taking some time to assess the semiconductor end-demand trends for 2012 before determining their overall capacity plans levels and timings. We therefore anticipate third quarter orders likely not to exceed EUR 500 million. Our 2012 business will in any event be supported by the continuation of the ramp of 2x nm nodes in Logic, 2x nm nodes in NAND memory and 3x nm in DRAM memory, the aggressive and litho-intensive development efforts of sub-20 nm technologies, as well as the introduction of the first EUV volume production systems NXE:3300," Meurice said.
For the third quarter 2011, ASML expects net sales of around EUR 1.4 billion, including two second generation EUV systems which represent total sales of around EUR 80 million with zero profit margin. All other sales (excluding EUV) are expected to have a gross margin in Q3 2011 of about 44 percent (about 42 percent for sales including EUV). R&D costs for Q3 are expected at EUR 150 million to support our strategic investments. SG&A costs are expected at EUR 56 million. We reiterate our sales expectation for all of 2011, to hit a record level clearly above EUR 5 billion, not including EUV.
[verwijderd]
0
Hmm, lijkt erop dat we hard naar beneden gaan vandaag. slecht nieuws over de orders. EUV machines lijken wel op schema te liggen
DTJ
0
quote:

Bolo schreef:

Hmm, lijkt erop dat we hard naar beneden gaan vandaag. slecht nieuws over de orders. EUV machines lijken wel op schema te liggen
Gewoon goede cijfer niks mis mee,

Q2 2011 netto-omzet van EUR 1.529 miljoen ten opzichte van Q1 2011 een omzet van EUR 1.452 miljoen (Q2 2010 netto-omzet van EUR 1.069 miljoen).
Q2 2011 een nettowinst van EUR 432 miljoen, ofwel 28,3 procent van de netto-omzet, ten opzichte van Q1 2011 een nettowinst van EUR 395 miljoen of 27,2 procent van de netto-omzet (Q2 2010 een nettowinst van EUR 239 miljoen of 22,4 procent van de omzet).
Q2 2011 net boekingen exclusief EUV wordt gewaardeerd op EUR 840 miljoen met 34 systemen (29 nieuwe en 5 gebruikte systemen), wat leidt tot een systeem achterstand met uitzondering van EUV gewaardeerd op EUR 2.756 miljoen per 26 juni 2011.

Ons tweede kwartaal kwam de omzet uit op recordniveau, houdt ons op het juiste spoor voor een nieuw recordjaar voor ASML in 2011," zegt Eric Meurice, President en Chief Executive Officer van ASML.

Wij herhalen onze verkoop-verwachting voor heel 2011, tot een "recordniveau"" hit duidelijk boven de EUR 5 miljard, exclusief EUV.
[verwijderd]
0
quote:

Bolo schreef:

Hmm, lijkt erop dat we hard naar beneden gaan vandaag. slecht nieuws over de orders. EUV machines lijken wel op schema te liggen
Vrees het ook, het gaat natuurlijk super met asml, maar dat ene zinnetje hé!? (Our customers are currently taking some time to assess the semiconductor end-demand trends for 2012 before determining their overall capacity plans levels and timings.)
[verwijderd]
0
Journalist Mathijs Bouman: ASML verwachtingen voor Q3 vallen tegen: bit.ly/evKbDe
best30
0
Zat er beetje na

tweede kwartaal omzet 1529 ......432 miljoen winst

de orderstroom is maaar 840 miljoen en dat is minder goed

beetje minder jongens

de cijfers jaar 2010

eerste kwartaal omzet 742.........107 miljoen winst
tweede kwartaal omzet 1069........239 miljoen winst
derde kwartaal omzet 1176.........269 miljoen winst
vierde kwartaal omzet 1500........387 miljoen winst

de cijfers jaar 2011

eerste kwartaal omzet 1452.......405 miljoen winst
tweede kwartaal omzet 1412.......385 miljoen winst

oder in stroom van 31 machine (waarde ongveer 910 miljoen)

Dus ongeveer 10% is het minder geworden.

Aanstaande woensdag komen met de cijfers naar buiten.

best30
no-sa
0
In Amerika wisten ze gisteren, voor de publicatie van de cijfers, dus meer, gezien de -5.25 procent daling. Het cijferseizoen staat er aan te komen, welk fonds in de AEX is nog niet met tien procent afgestraft, wij hadden TNT, Philips, Akzo en ga zo nog maar even door. Maar misschien draait het vandaag, en moet de daling van de laatste twee dagen voor ASML als een zekere bodem worden beschouwd, maar ik twijfel hevig.
34 Posts, Pagina: 1 2 » | Laatste
Aantal posts per pagina:  20 50 100 | Omhoog ↑

Meedoen aan de discussie?

Word nu gratis lid of log in met uw e-mailadres en wachtwoord.

Direct naar Forum

Detail

Vertraagd 9 mei 2024 12:05
Koers 845,900
Verschil +0,900 (+0,11%)
Hoog 848,500
Laag 843,800
Volume 37.333
Volume gemiddeld 543.750
Volume gisteren 368.284

EU stocks, real time, by Cboe Europe Ltd.; Other, Euronext & US stocks by NYSE & Cboe BZX Exchange, 15 min. delayed
#/^ Index indications calculated real time, zie disclaimer, streaming powered by: Infront