ASML « Terug naar discussie overzicht

ASML 2019

5.740 Posts, Pagina: « 1 2 3 4 5 6 ... 236 237 238 239 240 241 242 243 244 245 246 ... 283 284 285 286 287 » | Laatste
A3aan
0
Negeren dat gebral. En nu weer positief. 1 tot 2 euro omhoog en dan weer wat minder omlaag. We zien het bijna dagelijks. Gaat lekker zo met kleine stapjes steeds iets hoger. Lekker hoor. En die shortzitter maar geld verliezen. Dat wordt een magere kerst voor hem met hooguit een Belgisch waterkonijn op tafel.
[verwijderd]
0
quote:

A3aan schreef op 27 november 2019 12:08:

Negeren dat gebral. En nu weer positief. 1 tot 2 euro omhoog en dan weer wat minder omlaag. We zien het bijna dagelijks. Gaat lekker zo met kleine stapjes steeds iets hoger. Lekker hoor. En die shortzitter maar geld verliezen. Dat wordt een magere kerst voor hem met hooguit een Belgisch waterkonijn op tafel.
Zijn er geen muskusratten in Nederland ?

Ander alternatief is uiteraard ook de kat van de buren. Zou niet de eerste keer zijn.
[verwijderd]
0
quote:

AnalytischDenker schreef op 27 november 2019 09:47:

De forward P/E ratio's voor ASML zijn gebaseerd op het één jaar geleden geschetste scenario voor 2020 op de investor day 2018.

ASML schetste daar onder andere een gross margin voor 2020 van boven de 50%.

Wat waren de gross margins in de afgelopen kwartalen/jaren?

Q1 2017 47,6%
Q2 2017 45,0%
Q3 2017 42,9%
Q4 2017 45,2%
Q1 2018 48,7%
Q2 2018 43,3%
Q3 2018 48,1%
Q4 2018 44,3%
Q1 2019 41,6%
Q2 2019 43,0%
Q3 2019 43,7%

2017 45%
2018 46%
2019 43% (YTD)

Ik begrijp gewoon niet dat beleggers dan niet eerst even aankijken of er in 2020 over het hele jaar een gross margin van meer dan 50% wordt gerealiseerd? Het is toch redelijk onaannemelijk dat een bedrijf een gross margin binnen een jaar met 5% verbetert ?

Iedere procent dat de gross margin lager uitkomt dan 50,3% scheelt € 0,35 EPS op jaarbasis ten opzichte van de inschattingen voor de EPS als gegeven op de diverse websites.

De websites die een forward P/E ratio hanteren gebruiken het moderate 2020 scenario van ASML als geschetst in november 2018.

Hieronder zie je het verschil wat er gebeurt als de "idioot" hoge gross margin die ASML toen heeft genoemd, minder hoog uitvalt.

Omzet € 13,00 miljard
Gross Margin 50,30% (>50%)
Gross Margin € 6,54 miljard
R&D kosten € 1,82- miljard (14% van sales)
SG&A kosten € 0,52- miljard (4% van sales)
Winst voor belasting € 4,20 miljard
Belasting € 0,59- miljard (effective taks rate 14%)
Nettowinst € 3,61 miljard
Uistaande aandelen 420.000.000
Winst per aandeel € 8,60
Forward P/E ratio 28,6

Omzet € 13,00 miljard
Gross Margin 47,50%
Gross Margin € 6,18 miljard
R&D kosten € 1,82- miljard (14% van sales)
SG&A kosten € 0,52- miljard (4% van sales)
Winst voor belasting € 3,84 miljard
Belasting € 0,54- miljard (effective taks rate 14%)
Nettowinst € 3,30 miljard
Uistaande aandelen 420.000.000
Winst per aandeel € 7,85
Forward P/E ratio 31,3

Een gross margin van 47,5% is nog steeds fors hoger dan voorgaande jaren. Maar dan zou (ceteris paribus) de voorspelde EPS 9% lager uitvallen.

PS: de huidige P/E ratio is 40 en de trailing P/E ratio is 46.

Inion
0


PRODUCTS
Enabling chip production at scale
The semiconductor industry is driven by affordable scaling, which is powered by ASML’s holistic lithography product portfolio
JUMP TO
Lithography systems

Refurbished systems

Metrology & inspection systems

Customer support

We provide our customers with everything they need – hardware, software and services – to mass produce patterns on silicon, allowing them to increase the value and lower the cost of a chip.
EUV lithography systems
Extreme ultraviolet
The TWINSCAN NXE:3400C is our latest-generation EUV lithography system, combining productivity, highest resolution, and state-of-the-art overlay and focus performance.

NXE3400C 2
TWINSCAN NXE 3400C
Supporting text: The TWINSCAN NXE:3400C is the successor of the NXE:3400B that will support EUV volume production at the 7 and 5 nm nodes at higher productivity.

TWINSCAN NXE 3400B
The TWINSCAN NXE 3400B will support EUV volume production at the 7 and 5 nm nodes.

DUV lithography systems
Deep ultraviolet

Immersion systems

TWINSCAN NXT:2000i
The TWINSCAN NXT:2000i is our state-of-the-art immersion lithography system currently being ramped in high-volume manufacturing of the 7 nm Logic and advanced DRAM nodes.

ASML TWINSCAN NXT:1980Di DUV lithography machine
TWINSCAN NXT:1980Di
Introduced in 2015, the TWINSCAN NXT:1980Di delivers high productivity with high reliability: system uptime is at > 97% worldwide.

ASML TWINSCAN NXT:1970Ci DUV lithography machine
TWINSCAN NXT:1970Ci
The TWINSCAN NXT:1970Ci delivers high productivity and excellent image resolution using a dual-stage concept.

ASML TWINSCAN NXT:1965Ci DUV lithography machine
TWINSCAN NXT:1965Ci
The TWINSCAN NXT:1965Ci delivers high productivity and excellent image resolution using a dual-stage concept.

Dry systems
ASML TWINSCAN XT:1460K DUV lithography machine
TWINSCAN XT:1460K
The TWINSCAN XT:1460K is our latest-generation dual-stage ‘dry’ lithography system, offering a 30% productivity increase over previous models.

ASML TWINSCAN XT:1060K DUV lithography machine
TWINSCAN XT:1060K
The TWINSCAN XT:1060K is ASML’s most advanced KrF (krypton fluoride) laser 'dry' lithography system.

ASML TWINSCAN XT:860M DUV lithography machine
TWINSCAN XT:860M
The TWINSCAN XT:860M is designed using state-of-the-art optics for volume 300 mm wafer production at and below 110 nm resolution.

ASML TWINSCAN XT:400L DUV lithography system
TWINSCAN XT:400L
The TWINSCAN XT:400L is ASML’s latest-generation i-line lithography system, using a mercury vapor lamp to print features down to 220 nm.

Refurbished systems
Almost every lithography system that we’ve ever shipped is still in use at a customer fab. We refurbish ‘classic’ PAS 5500 and TWINSCAN lithography systems for a new life and a new purpose.

Refurbished PAS 5500 systems
Download the product descriptions and specs

PAS 5500-1150C
PAS 5500-8TFH-A
PAS 5500-850C
PAS 5500-750F
PAS 5500-450F
PAS 5500-350C
PAS 5500-275D
PAS 5500-100D
Contact Refurbished Systems Sales
Metrology & inspection systems
Delivering speed and accuracy, our metrology and inspection portfolio covers every step of the manufacturing process, from R&D to mass production. Together with our computational lithography and patterning control software solutions, they help chipmakers achieve the highest yield and best performance in mass production.

YieldStar optical metrology
Our YieldStar optical metrology solutions can quickly and accurately measure the quality of patterns on the wafer.

ASML YieldStar 375F optical metrology system
YieldStar 375F
Fast and accurate pre-etch overlay and focus measurements, whatever your process conditions.

ASML YieldStar 380G optical metrology system
YieldStar 380G
The YieldStar 380G is the successor of the YieldStar 375F, providing even faster and more accurate after-develop overlay and focus measurements.

ASML YieldStar 1375F optical metrology system
YieldStar 1375F
Fast, accurate in-product overlay and CD metrology for after-etch process monitoring.

E-beam metrology and inspection
Our HMI e-beam solutions help to locate and analyze individual chip defects amid millions of printed patterns.

HMI eP5
Our highest resolution e-beam system offers CD metrology and defect detection for chip development and production monitoring.

HMI eScan 430
Fast e-beam inspection for process development and production monitoring for 3D NAND and other advanced chips.

HMI eScan 600
Flexible e-beam inspection solution that detects multiple defect types in one system.

Computational lithography
Our computational lithography and software solutions revolve around creating applications that enhance the setup of the lithography system, so that chipmakers can print exactly what they want to print. A foundational element for all these applications are accurate simulation models of the lithography process. These models represent a wide variety of physical and chemical effects. Machine learning solutions are now broadly used both in the simulation models as well as in the applications. Our solutions fall into two main areas:

Process window enhancement
Process window control
Customer support
At ASML, the customer always comes first. With more than 5,000 customer support employees, including service engineers and applications specialists, we make sure our systems in our customers’ fabs are running smoothly.

CustomerNet for ASML customers
CustomerNet
Register for CustomerNet
Forgot your password?
Contact Sales
Contact us via the contact form and we'll get back to you as soon as possible

Contact us

Related content

Technology
Learn about the technology behind our lithography, metrology & inspection, and software solutions.

About ASML
ASML is an innovation leader in the semiconductor industry. Find out what we do and why we do it.

Careers
Explore careers at ASML and join the high-tech semiconductor industry, where you can work on technology that can change the world.

Home
Products
LEARN
ASML at a glance
History
Products
Technology
Sustainability
News
ASML Foundation
WORK AT ASML
Job search
Careers
Organization
Locations
INVEST
Why invest in ASML
Financial results
Investor days
Shares
GET IN TOUCH
Contact information
Contact Media Relations
Contact Investor Relations
CustomerNet
ASML Net
SOCIAL MEDIA





Copyright © 2019 (ASML) All Rights Reserved

Privacy Notice Business Partners Privacy Notice Recruitment Cookie Notice
[verwijderd]
0
quote:

Inion schreef op 27 november 2019 12:35:

Eh, katten blijven we vanaf, mannen!
Eén van mijn lievelingszangers is Flip Kowlier.
Eén van zijn beste nummers is Bombin.

Over den oorlog.

En één van zijn zinnetjes is :
"ik zou niet graag een kat zijn" (maar dan wel in het westvlaams).

Daardoor maakte ik die associatie.

Sorry.
Inion
0
Ben1960
0
[Modbreak IEX: Aangezien dit bericht nauwelijks meer met beleggen te maken heeft, is het verwijderd.]
A3aan
0
Een kat kan ook het bloed op een gluiperige manier onder je nagels vandaan halen. Bij succes ligt ze voor de haard te spinnen.
[verwijderd]
0
on topic, wel ivm AD zijn bangmakerij.

An sich heeft hij, deze keer over de k/w en de wpa, uiteraard wel gelijk.

Maar, de markt werkt met verwachtingen, niet met de echte cijfers. En als de verwachting verandert, dan zal de koers reageren. Als ASML met cijfers afkomt die niet volgens die verwachtingen zijn, dan zal het "hell broke loose" zijn.

Wat AD doet is contrair werken. Sommigen verdienen daar enorme sommen mee.

Dat is geen slechte strategie, maar ik zou het niet durven bij een topbedrijf als dit. Als een topbedrijf zijn koers even in elkaar stuikt, dan contrair handelen en kopen, dat durf ik wel. Maar een topbedrijf waarvan de koers te hard oploopt, daar contrair op handelen ? Dat is vragen om problemen.
rene66
0
Het gaat gewoon door,

Apple doubling AirPods Pro production - report
Nov. 27, 2019 9:23 AM ET|About: Apple Inc. (AAPL)|By: Brandy Betz, SA News Editor

Nikkei's supply chain sources in China say Apple (NASDAQ:AAPL) has increased its AirPods Pro orders from 1M to at least 2M units per month.

The AirPods Pro launched in October and currently has a four-week shipping delay.

Nikkei's report confirms a Bloomberg report last week that AirPods Pro demand was "surpassing expectations."

In Q4, the Wearables, Home, and Accessories segment contributed $6.5B of Apple's $64B in total revenue.

seekingalpha.com/news/3522387-apple-d...
rene66
1
Grotendeels hetzelfde verhaal dat ik gister van een andere site had gehaald. Nu op seekingalpha

ASML Will Overtake Applied Materials As Semiconductor Equipment Leader In 2019

seekingalpha.com/article/4309437-asml...
[verwijderd]
0
Ik vind het een wat moeilijke redenering. Die bedrijven zijn wel concurrenten van mekaar, maar eigenlijk maar voor een gedeeltelijke overlap.

Je kan een baksteenfabrikant zijn marktaandeel toch niet vergelijken met een vloertegelfabrikant.
Inion
0
EUV vreet aan de business van AMAT, KLAC en LRCX. Heel veel spullen van die bedrijven worden overbodig.
A3aan
2
Vandaag ook weer 3,50 euro tussen hoog/laag. Vind ik voorlopig prima zo. Gala weer wat hoger om dagkoersverlies ASML te compenseren. Vandaag bleek de 600 AEX weer een niet te nemen horde. Ook mijn winst blijft met een beginnen cijfer van 3 iedere keer net onder die grens. Ook al diverse malen afgeketst om over die 3 te gaan. Jammer, maar ik heb in mijn leven veel horden moeten nemen voor maximaal succes.
Marcel H.
0
quote:

A3aan schreef op 27 november 2019 18:28:

Vandaag ook weer 3,50 euro tussen hoog/laag. Vind ik voorlopig prima zo. Gala weer wat hoger om dagkoersverlies ASML te compenseren. Vandaag bleek de 600 AEX weer een niet te nemen horde. Ook mijn winst blijft met een beginnen cijfer van 3 iedere keer net onder die grens. Ook al diverse malen afgeketst om over die 3 te gaan. Jammer, maar ik heb in mijn leven veel horden moeten nemen voor maximaal succes.
Gala ATH. Ik kwam je ook tegen bij Fugro. Jij bent van veel markten thuis. Knap wat je doet.
A3aan
0
quote:

Marcel H. schreef op 27 november 2019 19:18:

[...]
Gala ATH. Ik kwam je ook tegen bij Fugro. Jij bent van veel markten thuis. Knap wat je doet.
Op dit moment zit ik in 17 aandelen via aandelen en/of opties, zowel calls als puts. Bij elkaar heb ik toch wel zo'n 40 posities die ik in de gaten moet houden.
Dat alles houd ik bij met een heel oud aandelenprogrammaatje van DaviEffect uit de jaren eind 1990. Geeft allerlei statistieken, winst verlies posities enz. Zeer uitgebreid. Kan zelfs Binck niet tegen op.

Vandaag bij verdeling portefeuille dagwaarde zie ik
22,95% ASL calls
11,9o% Gala aandelen
11,72% Gala calls
9,18% DSM calls
8,37% Gala calls
6,13% Gala calls
5,07% ASM calls
4,66% ABN-AMRO aandelen
4,50% Eurocastle aandelen
3,64% ASML aandelen
2,88% Neways Electronics
2,84% SMB calls
2,79% Unilever calls
2,19% AMG calls
1,90% overig (andere gekochte calls, geschreven calls, geschreven puts)

Zijn dus allemaal verschillende series, die genoemde calls.

Tja, ik heb het er maar druk mee. Maar het houdt me wel van de straat.
5.740 Posts, Pagina: « 1 2 3 4 5 6 ... 236 237 238 239 240 241 242 243 244 245 246 ... 283 284 285 286 287 » | Laatste
Aantal posts per pagina:  20 50 100 | Omhoog ↑

Meedoen aan de discussie?

Word nu gratis lid of log in met uw e-mailadres en wachtwoord.

Direct naar Forum

Detail

Vertraagd 7 jun 2024 17:36
Koers 958,900
Verschil +1,400 (+0,15%)
Hoog 970,300
Laag 950,200
Volume 458.224
Volume gemiddeld 518.186
Volume gisteren 610.760

EU stocks, real time, by Cboe Europe Ltd.; Other, Euronext & US stocks by NYSE & Cboe BZX Exchange, 15 min. delayed
#/^ Index indications calculated real time, zie disclaimer, streaming powered by: Infront